HomeAbout Us A-Z IndexSearch * Contact Us Register LoginPress Shop

The Open Brand -- Problem Reporting and Interpretations System


Problem Report 1312 Details

Help Show help | Quick Search | Submit a Test Suite Support Request | Click here to view your privileges

This page provides all information on Problem Report 1312.


Report 1312 Actions


    Problem Report Number 1312
    Submitter's Classification Test Suite problem
    State Resolved
    Resolution Test Suite Deficiency (TSD)
    Problem Resolution ID TSD.X.0594
    Raised 1970-01-01 08:00
    Updated 2003-03-13 08:00
    Published 1998-05-05 08:00
    Product Standard Internationalised System Calls and Libraries Extended V2 (UNIX 98)
    Certification Program The Open Brand certification program
    Test Suite VSU version 5.0.2
    Test Identification CAPIbase/fioctl 8
    Problem Summary TSD4U.00224 This test will fail on implementations which autopush modules onto pty slave devices when they are opened. Applicable in UNIX98 mode only.
    Problem Text
    As per the X/Open System Interfaces Definitions, Issue 5, a
    pseudo-terminal provides the process with an interface that is
    identical to the terminal subsystem and that is composed of two
    devices, a master device and a slave device. The slave device
    provides processes with an interface identical to the terminal
    interface. UNIX98 requires a STREAMS based implementation of
    pseudo-terminals to be available.

    On our implementation, the slave STREAM device has modules pushed
    on it during the open stage in order to provide the terminal interface.
    There is nothing in the X/Open specification that disallows this behavior.
    This test case makes the assumption that the slave STREAM device
    has no modules pushed on it and thereby fails after making the
    ioctl call. The implementation under test pushes modules on the
    STREAM to enable the TTY semantics required by the specification
    of pseudo-TTYs.

    Instead the test case should first see if there are any modules
    below the STREAM head by making the ioctl call with the I_LOOK
    command, and if there is, pop the module by issuing another ioctl
    call with I_POP command. The test should continue to do this until
    ioctl with I_LOOK command fails and sets errno to EINVAL. At
    this point, the test can guarantee that there are no modules on
    the STREAM and hence successfully test that ioctl with I_POP command
    fails and sets errno to EINVAL.
    Test Output
    TEST CASE: ioctl

    TEST PURPOSE #8
    EINVAL in errno and return -1 on a call to int
    ioctl(int fildes, I_POP, 0) when fildes refers to a
    STREAMS device and no module is present in the STREAM.
    PREP: Open master pseudo tty
    PREP: Determine if pseudo tty is a stream
    PREP: Open slave side of pseudo tty
    TEST: ioctl returns -1
    ERROR: ioctl returned 0
    8 FAIL

    Review Information

    Review Type TSMA Review
    Start Date null
    Completed null
    Status Complete
    Review Recommendation No Resolution Given
    Review Response
    We agree this is a Test Suite Deficiency in the test suite versions listed.
    Applicable in UNIX98 mode only.

    Review Type SA Review
    Start Date null
    Completed null
    Status Complete
    Review Resolution Test Suite Deficiency (TSD)
    Review Conclusion
    This is an agreed Test Suite Deficiency.

    Problem Reporting System Options:

     

    Back   


Contact the Certification Authority